view inst/fem_create_all.m @ 71:57540cb3b841

New function which creates on the fly all the DLD functions needed
author gedeone-octave <marco.vassallo@outlook.com>
date Sun, 28 Jul 2013 17:59:20 +0200
parents
children 98fd451fc822
line wrap: on
line source

## Copyright (C) 2013 Marco Vassallo

## This program is free software; you can redistribute it and/or modify it under
## the terms of the GNU General Public License as published by the Free Software
## Foundation; either version 2 of the License, or (at your option) any later
## version.

## This program is distributed in the hope that it will be useful, but WITHOUT
## ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
## FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for more
## details.

## You should have received a copy of the GNU General Public License along with
## this program; if not, see <http://www.gnu.org/licenses/>.


## -*- texinfo -*-
## @deftypefn {Function File} {} = fem_ffc (myproblem.ufl)
## This function take as input the name of the .ufl file where 
## the Variational Problem is defined.
## @example
## 
## @end example
## @seealso{} 
## @end deftypefn

function fem_create_all (var_prob)

  if nargin != 1
    error ("fem_create_fs: wrong number of input parameters.");
  elseif ! ischar (var_prob)
    error ("fem_create_fs: first argument is not a valid string");
  endif

  n = length (mfilename ("fullpath")) - length (mfilename());
  path = strtrunc(mfilename ("fullpath"), n);

  private = fullfile (path, "private/");
  output = generate_fs (var_prob);
  output += generate_makefile (var_prob, private);
  if output != 0
    error ("Compilation failed");
  else
    [output, textfile] = system (sprintf ("make -f Makefile_%s all", var_prob));
    if output != 0
      display (text);
      error ("Compilation failed");
    endif
    [output, textfile] = system (sprintf ("make -f Makefile_%s clean", var_prob));
    if output != 0
      display (text);
      error ("Compilation failed");
    endif
  endif

endfunction