view modules/count-leading-zeros @ 40204:db8428454956

autoupdate
author Karl Berry <karl@freefriends.org>
date Sun, 03 Mar 2019 14:09:37 -0800
parents 22a948de1761
children
line wrap: on
line source

Description:
Counts the number of leading 0-bits in a word.

Files:
lib/count-leading-zeros.c
lib/count-leading-zeros.h
m4/count-leading-zeros.m4

Depends-on:
extern-inline
verify

configure.ac:
gl_COUNT_LEADING_ZEROS

Makefile.am:
lib_SOURCES += count-leading-zeros.c

Include:
"count-leading-zeros.h"

License:
LGPLv2+

Maintainer:
Eric Blake